Skip to content

Commit

Permalink
remove celldefine in one of the models if backdoor access needed
Browse files Browse the repository at this point in the history
  • Loading branch information
M0stafaRady authored Feb 10, 2025
1 parent 4586e0f commit 85177eb
Showing 1 changed file with 0 additions and 4 deletions.
4 changes: 0 additions & 4 deletions hdl/beh_models/EF_SRAM_1024x32.ss_160V_n40C.v
Original file line number Diff line number Diff line change
Expand Up @@ -27,8 +27,6 @@

`timescale 1 ns / 1 ps

`celldefine

module EF_SRAM_1024x32_macro
(DO, ScanOutCC, AD, BEN, CLKin, DI, EN, R_WB, ScanInCC, ScanInDL, ScanInDR, SM, TM, WLBI, WLOFF,
`ifdef USE_PG_PIN
Expand Down Expand Up @@ -1520,5 +1518,3 @@ task write_x_in_whole_memory;
endtask

endmodule

`endcelldefine

0 comments on commit 85177eb

Please sign in to comment.